pyVHDLModel.Expression

This module contains parts of an abstract document language model for VHDL.

All declarations for literals, aggregates, operators forming an expressions.

Classes


Classes

class pyVHDLModel.Expression.BaseExpression[source]

A BaseExpression is a base-class for all expressions.

Inheritance

Inheritance diagram of BaseExpression

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__()

Initializes a VHDL model entity.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.Literal[source]

A Literal is a base-class for all literals.

Inheritance

Inheritance diagram of Literal

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__()

Initializes a VHDL model entity.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.NullLiteral[source]

Inheritance

Inheritance diagram of NullLiteral

__str__()[source]

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__()

Initializes a VHDL model entity.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.EnumerationLiteral(value)[source]

Inheritance

Inheritance diagram of EnumerationLiteral

Parameters:

value (str) –

__init__(value)[source]

Initializes a VHDL model entity.

Parameters:

value (str) –

__str__()[source]

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.NumericLiteral[source]

A NumericLiteral is a base-class for all numeric literals.

Inheritance

Inheritance diagram of NumericLiteral

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__()

Initializes a VHDL model entity.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.IntegerLiteral(value)[source]

Inheritance

Inheritance diagram of IntegerLiteral

Parameters:

value (int) –

__init__(value)[source]

Initializes a VHDL model entity.

Parameters:

value (int) –

__str__()[source]

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.FloatingPointLiteral(value)[source]

Inheritance

Inheritance diagram of FloatingPointLiteral

Parameters:

value (float) –

__init__(value)[source]

Initializes a VHDL model entity.

Parameters:

value (float) –

__str__()[source]

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.PhysicalLiteral(unitName)[source]

Inheritance

Inheritance diagram of PhysicalLiteral

Parameters:

unitName (str) –

__init__(unitName)[source]

Initializes a VHDL model entity.

Parameters:

unitName (str) –

__str__()[source]

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.PhysicalIntegerLiteral(value, unitName)[source]

Inheritance

Inheritance diagram of PhysicalIntegerLiteral

Parameters:
  • value (int) –

  • unitName (str) –

__init__(value, unitName)[source]

Initializes a VHDL model entity.

Parameters:
  • value (int) –

  • unitName (str) –

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.PhysicalFloatingLiteral(value, unitName)[source]

Inheritance

Inheritance diagram of PhysicalFloatingLiteral

Parameters:
__init__(value, unitName)[source]

Initializes a VHDL model entity.

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.CharacterLiteral(value)[source]

Inheritance

Inheritance diagram of CharacterLiteral

Parameters:

value (str) –

__init__(value)[source]

Initializes a VHDL model entity.

Parameters:

value (str) –

__str__()[source]

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.StringLiteral(value)[source]

Inheritance

Inheritance diagram of StringLiteral

Parameters:

value (str) –

__init__(value)[source]

Initializes a VHDL model entity.

Parameters:

value (str) –

__str__()[source]

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.BitStringLiteral(value)[source]

Inheritance

Inheritance diagram of BitStringLiteral

Parameters:

value (str) –

__init__(value)[source]

Initializes a VHDL model entity.

Parameters:

value (str) –

__str__()[source]

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.ParenthesisExpression[source]

Inheritance

Inheritance diagram of ParenthesisExpression

class pyVHDLModel.Expression.UnaryExpression(operand)[source]

A UnaryExpression is a base-class for all unary expressions.

Inheritance

Inheritance diagram of UnaryExpression

Parameters:

operand (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal) –

__init__(operand)[source]

Initializes a VHDL model entity.

Parameters:

operand (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal) –

__str__()[source]

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.NegationExpression(operand)[source]

Inheritance

Inheritance diagram of NegationExpression

Parameters:

operand (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal) –

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(operand)

Initializes a VHDL model entity.

Parameters:

operand (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal) –

__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.IdentityExpression(operand)[source]

Inheritance

Inheritance diagram of IdentityExpression

Parameters:

operand (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal) –

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(operand)

Initializes a VHDL model entity.

Parameters:

operand (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal) –

__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.InverseExpression(operand)[source]

Inheritance

Inheritance diagram of InverseExpression

Parameters:

operand (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal) –

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(operand)

Initializes a VHDL model entity.

Parameters:

operand (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal) –

__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.AbsoluteExpression(operand)[source]

Inheritance

Inheritance diagram of AbsoluteExpression

Parameters:

operand (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal) –

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(operand)

Initializes a VHDL model entity.

Parameters:

operand (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal) –

__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.TypeConversion(operand)[source]

Inheritance

Inheritance diagram of TypeConversion

Parameters:

operand (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal) –

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(operand)

Initializes a VHDL model entity.

Parameters:

operand (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal) –

__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.SubExpression(operand)[source]

Inheritance

Inheritance diagram of SubExpression

Parameters:

operand (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal) –

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(operand)

Initializes a VHDL model entity.

Parameters:

operand (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal) –

__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.BinaryExpression(leftOperand, rightOperand)[source]

A BinaryExpression is a base-class for all binary expressions.

Inheritance

Inheritance diagram of BinaryExpression

Parameters:
__init__(leftOperand, rightOperand)[source]

Initializes a VHDL model entity.

Parameters:
__str__()[source]

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.RangeExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of RangeExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.AscendingRangeExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of AscendingRangeExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.DescendingRangeExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of DescendingRangeExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.AddingExpression(leftOperand, rightOperand)[source]

A AddingExpression is a base-class for all adding expressions.

Inheritance

Inheritance diagram of AddingExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.AdditionExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of AdditionExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.SubtractionExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of SubtractionExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.ConcatenationExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of ConcatenationExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.MultiplyingExpression(leftOperand, rightOperand)[source]

A MultiplyingExpression is a base-class for all multiplying expressions.

Inheritance

Inheritance diagram of MultiplyingExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.MultiplyExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of MultiplyExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.DivisionExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of DivisionExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.RemainderExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of RemainderExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.ModuloExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of ModuloExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.ExponentiationExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of ExponentiationExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.LogicalExpression(leftOperand, rightOperand)[source]

A LogicalExpression is a base-class for all logical expressions.

Inheritance

Inheritance diagram of LogicalExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.AndExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of AndExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.NandExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of NandExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.OrExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of OrExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.NorExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of NorExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.XorExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of XorExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.XnorExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of XnorExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.RelationalExpression(leftOperand, rightOperand)[source]

A RelationalExpression is a base-class for all shifting expressions.

Inheritance

Inheritance diagram of RelationalExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.EqualExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of EqualExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.UnequalExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of UnequalExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.GreaterThanExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of GreaterThanExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.GreaterEqualExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of GreaterEqualExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.LessThanExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of LessThanExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.LessEqualExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of LessEqualExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.MatchingRelationalExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of MatchingRelationalExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.MatchingEqualExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of MatchingEqualExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.MatchingUnequalExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of MatchingUnequalExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.MatchingGreaterThanExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of MatchingGreaterThanExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.MatchingGreaterEqualExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of MatchingGreaterEqualExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.MatchingLessThanExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of MatchingLessThanExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.MatchingLessEqualExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of MatchingLessEqualExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.ShiftExpression(leftOperand, rightOperand)[source]

A ShiftExpression is a base-class for all shifting expressions.

Inheritance

Inheritance diagram of ShiftExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.ShiftLogicExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of ShiftLogicExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.ShiftArithmeticExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of ShiftArithmeticExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.RotateExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of RotateExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.ShiftRightLogicExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of ShiftRightLogicExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.ShiftLeftLogicExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of ShiftLeftLogicExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.ShiftRightArithmeticExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of ShiftRightArithmeticExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.ShiftLeftArithmeticExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of ShiftLeftArithmeticExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.RotateRightExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of RotateRightExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.RotateLeftExpression(leftOperand, rightOperand)[source]

Inheritance

Inheritance diagram of RotateLeftExpression

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(leftOperand, rightOperand)

Initializes a VHDL model entity.

Parameters:
__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.QualifiedExpression(subtype, operand)[source]

Inheritance

Inheritance diagram of QualifiedExpression

Parameters:
__init__(subtype, operand)[source]

Initializes a VHDL model entity.

Parameters:
__str__()[source]

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.TernaryExpression[source]

A TernaryExpression is a base-class for all ternary expressions.

Inheritance

Inheritance diagram of TernaryExpression

__init__()[source]

Initializes a VHDL model entity.

__str__()[source]

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.WhenElseExpression[source]

Inheritance

Inheritance diagram of WhenElseExpression

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__()

Initializes a VHDL model entity.

__str__()

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.FunctionCall[source]

Inheritance

Inheritance diagram of FunctionCall

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__()

Initializes a VHDL model entity.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.Allocation[source]

Inheritance

Inheritance diagram of Allocation

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__()

Initializes a VHDL model entity.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.SubtypeAllocation(subtype)[source]

Inheritance

Inheritance diagram of SubtypeAllocation

Parameters:

subtype (Symbol) –

__init__(subtype)[source]

Initializes a VHDL model entity.

Parameters:

subtype (Symbol) –

__str__()[source]

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.QualifiedExpressionAllocation(qualifiedExpression)[source]

Inheritance

Inheritance diagram of QualifiedExpressionAllocation

Parameters:

qualifiedExpression (QualifiedExpression) –

__init__(qualifiedExpression)[source]

Initializes a VHDL model entity.

Parameters:

qualifiedExpression (QualifiedExpression) –

__str__()[source]

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.AggregateElement(expression)[source]

A AggregateElement is a base-class for all aggregate elements.

Inheritance

Inheritance diagram of AggregateElement

Parameters:

expression (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal) –

__init__(expression)[source]

Initializes a VHDL model entity.

Parameters:

expression (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal) –

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.SimpleAggregateElement(expression)[source]

Inheritance

Inheritance diagram of SimpleAggregateElement

Parameters:

expression (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal) –

__str__()[source]

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(expression)

Initializes a VHDL model entity.

Parameters:

expression (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal) –

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.IndexedAggregateElement(index, expression)[source]

Inheritance

Inheritance diagram of IndexedAggregateElement

Parameters:
__init__(index, expression)[source]

Initializes a VHDL model entity.

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__str__()[source]

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.Expression.RangedAggregateElement(rng, expression)[source]

Inheritance

Inheritance diagram of RangedAggregateElement

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

__init__(rng, expression)[source]

Initializes a VHDL model entity.

Parameters:
__str__()[source]

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

class pyVHDLModel.Expression.NamedAggregateElement(name, expression)[source]

Inheritance

Inheritance diagram of NamedAggregateElement

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

__init__(name, expression)[source]

Initializes a VHDL model entity.

Parameters:
__str__()[source]

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

class pyVHDLModel.Expression.OthersAggregateElement(expression)[source]

Inheritance

Inheritance diagram of OthersAggregateElement

Parameters:

expression (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal) –

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(expression)

Initializes a VHDL model entity.

Parameters:

expression (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal) –

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

__str__()[source]

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str

class pyVHDLModel.Expression.Aggregate(elements)[source]

Inheritance

Inheritance diagram of Aggregate

Parameters:

elements (Iterable[AggregateElement]) –

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

__init__(elements)[source]

Initializes a VHDL model entity.

Parameters:

elements (Iterable[AggregateElement]) –

__str__()[source]

Return str(self). :rtype: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Return type:

str