pyVHDLModel.Name

This module contains parts of an abstract document language model for VHDL.

VHDL uses names to express cross-references from usage locations to declarations. Here, names are single or combined identifiers. Symbols are structures representing a name and a reference (pointer) to the referenced vhdl language entity.

Classes

  • Name: Name is the base-class for all names in the VHDL language model.

  • SimpleName: A simple name is a name made from a single word.

  • ParenthesisName: Name is the base-class for all names in the VHDL language model.

  • IndexedName: Name is the base-class for all names in the VHDL language model.

  • SlicedName: Name is the base-class for all names in the VHDL language model.

  • SelectedName: A selected name is a name made from multiple words separated by a dot (.).

  • AttributeName: Name is the base-class for all names in the VHDL language model.

  • AllName: The all name represents the reserved word all used in names.

  • OpenName: The open name represents the reserved word open.


Classes

class pyVHDLModel.Name.Name(identifier, prefix=None)[source]

Name is the base-class for all names in the VHDL language model.

Inheritance

Inheritance diagram of Name

Parameters:
__init__(identifier, prefix=None)[source]

Initializes a VHDL model entity.

Parameters:
property Identifier: str

The identifier the name is referencing.

Returns:

The referenced identifier.

property NormalizedIdentifier: str

The normalized identifier the name is referencing.

Returns:

The referenced identifier (normalized).

property Root: Name

The root (left-most) element in a chain of names.

In case the name is a simple name, the root points to the name itself.

Returns:

The name’s root element.

property Prefix: Name | None

The name’s prefix in a chain of names.

Returns:

The name left from current name, if not a simple name, otherwise None.

property HasPrefix: bool

Returns true, if the name has a prefix.

This is true for all names except simple names.

Returns:

True, if the name as a prefix.

__repr__()[source]

Return repr(self).

Return type:

str

__str__()[source]

Return str(self).

Return type:

str

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Name.SimpleName(identifier, prefix=None)[source]

A simple name is a name made from a single word.

For example, the entity name in an architecture declaration is a simple name, while the name of the architecture itself is an identifier. The simple name references is again an identifier in the entity declaration, thus names reference other (already) declared language entities.

Inheritance

Inheritance diagram of SimpleName

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property HasPrefix: bool

Returns true, if the name has a prefix.

This is true for all names except simple names.

Returns:

True, if the name as a prefix.

property Identifier: str

The identifier the name is referencing.

Returns:

The referenced identifier.

property NormalizedIdentifier: str

The normalized identifier the name is referencing.

Returns:

The referenced identifier (normalized).

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

property Prefix: Name | None

The name’s prefix in a chain of names.

Returns:

The name left from current name, if not a simple name, otherwise None.

property Root: Name

The root (left-most) element in a chain of names.

In case the name is a simple name, the root points to the name itself.

Returns:

The name’s root element.

__init__(identifier, prefix=None)

Initializes a VHDL model entity.

Parameters:
__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Name.ParenthesisName(prefix, associations)[source]

Inheritance

Inheritance diagram of ParenthesisName

Parameters:
__init__(prefix, associations)[source]

Initializes a VHDL model entity.

Parameters:
__str__()[source]

Return str(self).

Return type:

str

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property HasPrefix: bool

Returns true, if the name has a prefix.

This is true for all names except simple names.

Returns:

True, if the name as a prefix.

property Identifier: str

The identifier the name is referencing.

Returns:

The referenced identifier.

property NormalizedIdentifier: str

The normalized identifier the name is referencing.

Returns:

The referenced identifier (normalized).

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

property Prefix: Name | None

The name’s prefix in a chain of names.

Returns:

The name left from current name, if not a simple name, otherwise None.

property Root: Name

The root (left-most) element in a chain of names.

In case the name is a simple name, the root points to the name itself.

Returns:

The name’s root element.

__repr__()

Return repr(self).

Return type:

str

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Name.IndexedName(prefix, indices)[source]

Inheritance

Inheritance diagram of IndexedName

Parameters:
__init__(prefix, indices)[source]

Initializes a VHDL model entity.

Parameters:
__str__()[source]

Return str(self).

Return type:

str

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property HasPrefix: bool

Returns true, if the name has a prefix.

This is true for all names except simple names.

Returns:

True, if the name as a prefix.

property Identifier: str

The identifier the name is referencing.

Returns:

The referenced identifier.

property NormalizedIdentifier: str

The normalized identifier the name is referencing.

Returns:

The referenced identifier (normalized).

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

property Prefix: Name | None

The name’s prefix in a chain of names.

Returns:

The name left from current name, if not a simple name, otherwise None.

property Root: Name

The root (left-most) element in a chain of names.

In case the name is a simple name, the root points to the name itself.

Returns:

The name’s root element.

__repr__()

Return repr(self).

Return type:

str

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Name.SlicedName(identifier, prefix=None)[source]

Inheritance

Inheritance diagram of SlicedName

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property HasPrefix: bool

Returns true, if the name has a prefix.

This is true for all names except simple names.

Returns:

True, if the name as a prefix.

property Identifier: str

The identifier the name is referencing.

Returns:

The referenced identifier.

property NormalizedIdentifier: str

The normalized identifier the name is referencing.

Returns:

The referenced identifier (normalized).

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

property Prefix: Name | None

The name’s prefix in a chain of names.

Returns:

The name left from current name, if not a simple name, otherwise None.

property Root: Name

The root (left-most) element in a chain of names.

In case the name is a simple name, the root points to the name itself.

Returns:

The name’s root element.

__init__(identifier, prefix=None)

Initializes a VHDL model entity.

Parameters:
__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Name.SelectedName(identifier, prefix)[source]

A selected name is a name made from multiple words separated by a dot (.).

For example, the library and entity name in a direct entity instantiation is a selected name. Here the entity identifier is a selected name. The library identifier is a simple name, which is referenced by the selected name via the Prefix property.

Inheritance

Inheritance diagram of SelectedName

Parameters:
__init__(identifier, prefix)[source]

Initializes a VHDL model entity.

Parameters:
__str__()[source]

Return str(self).

Return type:

str

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property HasPrefix: bool

Returns true, if the name has a prefix.

This is true for all names except simple names.

Returns:

True, if the name as a prefix.

property Identifier: str

The identifier the name is referencing.

Returns:

The referenced identifier.

property NormalizedIdentifier: str

The normalized identifier the name is referencing.

Returns:

The referenced identifier (normalized).

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

property Prefix: Name | None

The name’s prefix in a chain of names.

Returns:

The name left from current name, if not a simple name, otherwise None.

property Root: Name

The root (left-most) element in a chain of names.

In case the name is a simple name, the root points to the name itself.

Returns:

The name’s root element.

__repr__()

Return repr(self).

Return type:

str

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Name.AttributeName(identifier, prefix)[source]

Inheritance

Inheritance diagram of AttributeName

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property HasPrefix: bool

Returns true, if the name has a prefix.

This is true for all names except simple names.

Returns:

True, if the name as a prefix.

property Identifier: str

The identifier the name is referencing.

Returns:

The referenced identifier.

property NormalizedIdentifier: str

The normalized identifier the name is referencing.

Returns:

The referenced identifier (normalized).

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

property Prefix: Name | None

The name’s prefix in a chain of names.

Returns:

The name left from current name, if not a simple name, otherwise None.

property Root: Name

The root (left-most) element in a chain of names.

In case the name is a simple name, the root points to the name itself.

Returns:

The name’s root element.

__repr__()

Return repr(self).

Return type:

str

_parent: ModelEntity

Reference to a parent entity in the model.

__init__(identifier, prefix)[source]

Initializes a VHDL model entity.

Parameters:
__str__()[source]

Return str(self).

Return type:

str

class pyVHDLModel.Name.AllName(prefix)[source]

The all name represents the reserved word all used in names.

Most likely this name is used in use-statements.

Inheritance

Inheritance diagram of AllName

Parameters:

prefix (Name)

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property HasPrefix: bool

Returns true, if the name has a prefix.

This is true for all names except simple names.

Returns:

True, if the name as a prefix.

property Identifier: str

The identifier the name is referencing.

Returns:

The referenced identifier.

property NormalizedIdentifier: str

The normalized identifier the name is referencing.

Returns:

The referenced identifier (normalized).

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

property Prefix: Name | None

The name’s prefix in a chain of names.

Returns:

The name left from current name, if not a simple name, otherwise None.

property Root: Name

The root (left-most) element in a chain of names.

In case the name is a simple name, the root points to the name itself.

Returns:

The name’s root element.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_parent: ModelEntity

Reference to a parent entity in the model.

__init__(prefix)[source]

Initializes a VHDL model entity.

Parameters:

prefix (Name)

class pyVHDLModel.Name.OpenName[source]

The open name represents the reserved word open.

Most likely this name is used in port associations.

Inheritance

Inheritance diagram of OpenName

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property HasPrefix: bool

Returns true, if the name has a prefix.

This is true for all names except simple names.

Returns:

True, if the name as a prefix.

property Identifier: str

The identifier the name is referencing.

Returns:

The referenced identifier.

property NormalizedIdentifier: str

The normalized identifier the name is referencing.

Returns:

The referenced identifier (normalized).

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

property Prefix: Name | None

The name’s prefix in a chain of names.

Returns:

The name left from current name, if not a simple name, otherwise None.

property Root: Name

The root (left-most) element in a chain of names.

In case the name is a simple name, the root points to the name itself.

Returns:

The name’s root element.

__repr__()

Return repr(self).

Return type:

str

_parent: ModelEntity

Reference to a parent entity in the model.

__init__()[source]

Initializes a VHDL model entity.

__str__()[source]

Return str(self).

Return type:

str