pyVHDLModel.Sequential

This module contains parts of an abstract document language model for VHDL.

Declarations for sequential statements.

Classes


Classes

class pyVHDLModel.Sequential.SequentialStatement(label=None)[source]

A SequentialStatement is a base-class for all sequential statements.

Inheritance

Inheritance diagram of SequentialStatement

Parameters:

label (str)

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Label: str | None

Returns a model entity’s label.

Returns:

Label of a model entity.

property NormalizedLabel: str | None

Returns a model entity’s normalized (lower case) label.

Returns:

Normalized label of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(label=None)

Initializes a VHDL model entity.

Parameters:

label (str)

_label: Nullable[str]

The label of a model entity.

_normalizedLabel: Nullable[str]

The normalized (lower case) label of a model entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.SequentialStatementsMixin(statements=None)[source]

Inheritance

Inheritance diagram of SequentialStatementsMixin

Parameters:

statements (Iterable[SequentialStatement])

__init__(statements=None)[source]
Parameters:

statements (Iterable[SequentialStatement])

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

class pyVHDLModel.Sequential.SequentialProcedureCall(procedureName, parameterMappings=None, label=None)[source]

Inheritance

Inheritance diagram of SequentialProcedureCall

Parameters:
__init__(procedureName, parameterMappings=None, label=None)[source]

Initializes a VHDL model entity.

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Label: str | None

Returns a model entity’s label.

Returns:

Label of a model entity.

property NormalizedLabel: str | None

Returns a model entity’s normalized (lower case) label.

Returns:

Normalized label of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_label: Nullable[str]

The label of a model entity.

_normalizedLabel: Nullable[str]

The normalized (lower case) label of a model entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.SequentialSignalAssignment(target, label=None)[source]

Inheritance

Inheritance diagram of SequentialSignalAssignment

Parameters:
__init__(target, label=None)[source]

Initializes a VHDL model entity.

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Label: str | None

Returns a model entity’s label.

Returns:

Label of a model entity.

property NormalizedLabel: str | None

Returns a model entity’s normalized (lower case) label.

Returns:

Normalized label of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_label: Nullable[str]

The label of a model entity.

_normalizedLabel: Nullable[str]

The normalized (lower case) label of a model entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.SequentialSimpleSignalAssignment(target, waveform, label=None)[source]

Inheritance

Inheritance diagram of SequentialSimpleSignalAssignment

Parameters:
__init__(target, waveform, label=None)[source]

Initializes a VHDL model entity.

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Label: str | None

Returns a model entity’s label.

Returns:

Label of a model entity.

property NormalizedLabel: str | None

Returns a model entity’s normalized (lower case) label.

Returns:

Normalized label of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_label: Nullable[str]

The label of a model entity.

_normalizedLabel: Nullable[str]

The normalized (lower case) label of a model entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.SequentialVariableAssignment(target, expression, label=None)[source]

Inheritance

Inheritance diagram of SequentialVariableAssignment

Parameters:
__init__(target, expression, label=None)[source]

Initializes a VHDL model entity.

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Label: str | None

Returns a model entity’s label.

Returns:

Label of a model entity.

property NormalizedLabel: str | None

Returns a model entity’s normalized (lower case) label.

Returns:

Normalized label of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_label: Nullable[str]

The label of a model entity.

_normalizedLabel: Nullable[str]

The normalized (lower case) label of a model entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.SequentialReportStatement(message, severity=None, label=None)[source]

Inheritance

Inheritance diagram of SequentialReportStatement

Parameters:
__init__(message, severity=None, label=None)[source]

Initializes a VHDL model entity.

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Label: str | None

Returns a model entity’s label.

Returns:

Label of a model entity.

property NormalizedLabel: str | None

Returns a model entity’s normalized (lower case) label.

Returns:

Normalized label of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_label: Nullable[str]

The label of a model entity.

_normalizedLabel: Nullable[str]

The normalized (lower case) label of a model entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.SequentialAssertStatement(condition, message=None, severity=None, label=None)[source]

Inheritance

Inheritance diagram of SequentialAssertStatement

Parameters:
__init__(condition, message=None, severity=None, label=None)[source]

Initializes a VHDL model entity.

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Label: str | None

Returns a model entity’s label.

Returns:

Label of a model entity.

property NormalizedLabel: str | None

Returns a model entity’s normalized (lower case) label.

Returns:

Normalized label of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_label: Nullable[str]

The label of a model entity.

_normalizedLabel: Nullable[str]

The normalized (lower case) label of a model entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.CompoundStatement(label=None)[source]

A CompoundStatement is a base-class for all compound statements.

Inheritance

Inheritance diagram of CompoundStatement

Parameters:

label (str)

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Label: str | None

Returns a model entity’s label.

Returns:

Label of a model entity.

property NormalizedLabel: str | None

Returns a model entity’s normalized (lower case) label.

Returns:

Normalized label of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(label=None)

Initializes a VHDL model entity.

Parameters:

label (str)

_label: Nullable[str]

The label of a model entity.

_normalizedLabel: Nullable[str]

The normalized (lower case) label of a model entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.Branch(statements=None)[source]

A Branch is a base-class for all branches in a if statement.

Inheritance

Inheritance diagram of Branch

Parameters:

statements (Iterable[SequentialStatement])

__init__(statements=None)[source]

Initializes a VHDL model entity.

Parameters:

statements (Iterable[SequentialStatement])

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.IfBranch(condition, statements=None)[source]

Inheritance

Inheritance diagram of IfBranch

Parameters:
__init__(condition, statements=None)[source]

Initializes a VHDL model entity.

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.ElsifBranch(condition, statements=None)[source]

Inheritance

Inheritance diagram of ElsifBranch

Parameters:
__init__(condition, statements=None)[source]

Initializes a VHDL model entity.

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.ElseBranch(statements=None)[source]

Inheritance

Inheritance diagram of ElseBranch

Parameters:

statements (Iterable[SequentialStatement])

__init__(statements=None)[source]

Initializes a VHDL model entity.

Parameters:

statements (Iterable[SequentialStatement])

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.IfStatement(ifBranch, elsifBranches=None, elseBranch=None, label=None)[source]

Inheritance

Inheritance diagram of IfStatement

Parameters:
__init__(ifBranch, elsifBranches=None, elseBranch=None, label=None)[source]

Initializes a VHDL model entity.

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Label: str | None

Returns a model entity’s label.

Returns:

Label of a model entity.

property NormalizedLabel: str | None

Returns a model entity’s normalized (lower case) label.

Returns:

Normalized label of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_label: Nullable[str]

The label of a model entity.

_normalizedLabel: Nullable[str]

The normalized (lower case) label of a model entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.SequentialChoice[source]

A SequentialChoice is a base-class for all sequential choices (in case statements).

Inheritance

Inheritance diagram of SequentialChoice

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__()

Initializes a VHDL model entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.IndexedChoice(expression)[source]

Inheritance

Inheritance diagram of IndexedChoice

Parameters:

expression (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal)

__init__(expression)[source]

Initializes a VHDL model entity.

Parameters:

expression (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal)

__str__()[source]

Return str(self).

Return type:

str

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.RangedChoice(rng)[source]

Inheritance

Inheritance diagram of RangedChoice

Parameters:

rng (Range)

__init__(rng)[source]

Initializes a VHDL model entity.

Parameters:

rng (Range)

__str__()[source]

Return str(self).

Return type:

str

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.SequentialCase(statements=None)[source]

Inheritance

Inheritance diagram of SequentialCase

Parameters:

statements (Iterable[SequentialStatement])

__init__(statements=None)[source]

Initializes a VHDL model entity.

Parameters:

statements (Iterable[SequentialStatement])

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.Case(choices, statements=None)[source]

Inheritance

Inheritance diagram of Case

Parameters:
__init__(choices, statements=None)[source]

Initializes a VHDL model entity.

Parameters:
__str__()[source]

Return str(self).

Return type:

str

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.OthersCase(statements=None)[source]

Inheritance

Inheritance diagram of OthersCase

Parameters:

statements (Iterable[SequentialStatement])

__str__()[source]

Return str(self).

Return type:

str

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(statements=None)

Initializes a VHDL model entity.

Parameters:

statements (Iterable[SequentialStatement])

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.CaseStatement(expression, cases, label=None)[source]

Inheritance

Inheritance diagram of CaseStatement

Parameters:
__init__(expression, cases, label=None)[source]

Initializes a VHDL model entity.

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Label: str | None

Returns a model entity’s label.

Returns:

Label of a model entity.

property NormalizedLabel: str | None

Returns a model entity’s normalized (lower case) label.

Returns:

Normalized label of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_label: Nullable[str]

The label of a model entity.

_normalizedLabel: Nullable[str]

The normalized (lower case) label of a model entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.LoopStatement(statements=None, label=None)[source]

A LoopStatement is a base-class for all loop statements.

Inheritance

Inheritance diagram of LoopStatement

Parameters:
__init__(statements=None, label=None)[source]

Initializes a VHDL model entity.

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Label: str | None

Returns a model entity’s label.

Returns:

Label of a model entity.

property NormalizedLabel: str | None

Returns a model entity’s normalized (lower case) label.

Returns:

Normalized label of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_label: Nullable[str]

The label of a model entity.

_normalizedLabel: Nullable[str]

The normalized (lower case) label of a model entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.EndlessLoopStatement(statements=None, label=None)[source]

Inheritance

Inheritance diagram of EndlessLoopStatement

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Label: str | None

Returns a model entity’s label.

Returns:

Label of a model entity.

property NormalizedLabel: str | None

Returns a model entity’s normalized (lower case) label.

Returns:

Normalized label of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(statements=None, label=None)

Initializes a VHDL model entity.

Parameters:
_label: Nullable[str]

The label of a model entity.

_normalizedLabel: Nullable[str]

The normalized (lower case) label of a model entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.ForLoopStatement(loopIndex, rng, statements=None, label=None)[source]

Inheritance

Inheritance diagram of ForLoopStatement

Parameters:
__init__(loopIndex, rng, statements=None, label=None)[source]

Initializes a VHDL model entity.

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Label: str | None

Returns a model entity’s label.

Returns:

Label of a model entity.

property NormalizedLabel: str | None

Returns a model entity’s normalized (lower case) label.

Returns:

Normalized label of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_label: Nullable[str]

The label of a model entity.

_normalizedLabel: Nullable[str]

The normalized (lower case) label of a model entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.WhileLoopStatement(condition, statements=None, label=None)[source]

Inheritance

Inheritance diagram of WhileLoopStatement

Parameters:
__init__(condition, statements=None, label=None)[source]

Initializes a VHDL model entity.

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Label: str | None

Returns a model entity’s label.

Returns:

Label of a model entity.

property NormalizedLabel: str | None

Returns a model entity’s normalized (lower case) label.

Returns:

Normalized label of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_label: Nullable[str]

The label of a model entity.

_normalizedLabel: Nullable[str]

The normalized (lower case) label of a model entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.LoopControlStatement(condition=None, loopLabel=None)[source]

A LoopControlStatement is a base-class for all loop controlling statements.

Inheritance

Inheritance diagram of LoopControlStatement

Parameters:
__init__(condition=None, loopLabel=None)[source]

Initializes a VHDL model entity.

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Label: str | None

Returns a model entity’s label.

Returns:

Label of a model entity.

property NormalizedLabel: str | None

Returns a model entity’s normalized (lower case) label.

Returns:

Normalized label of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_label: Nullable[str]

The label of a model entity.

_normalizedLabel: Nullable[str]

The normalized (lower case) label of a model entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.NextStatement(condition=None, loopLabel=None)[source]

Inheritance

Inheritance diagram of NextStatement

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Label: str | None

Returns a model entity’s label.

Returns:

Label of a model entity.

property NormalizedLabel: str | None

Returns a model entity’s normalized (lower case) label.

Returns:

Normalized label of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(condition=None, loopLabel=None)

Initializes a VHDL model entity.

Parameters:
_label: Nullable[str]

The label of a model entity.

_normalizedLabel: Nullable[str]

The normalized (lower case) label of a model entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.ExitStatement(condition=None, loopLabel=None)[source]

Inheritance

Inheritance diagram of ExitStatement

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Label: str | None

Returns a model entity’s label.

Returns:

Label of a model entity.

property NormalizedLabel: str | None

Returns a model entity’s normalized (lower case) label.

Returns:

Normalized label of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(condition=None, loopLabel=None)

Initializes a VHDL model entity.

Parameters:
_label: Nullable[str]

The label of a model entity.

_normalizedLabel: Nullable[str]

The normalized (lower case) label of a model entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.NullStatement(label=None)[source]

Inheritance

Inheritance diagram of NullStatement

Parameters:

label (str)

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Label: str | None

Returns a model entity’s label.

Returns:

Label of a model entity.

property NormalizedLabel: str | None

Returns a model entity’s normalized (lower case) label.

Returns:

Normalized label of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(label=None)

Initializes a VHDL model entity.

Parameters:

label (str)

_label: Nullable[str]

The label of a model entity.

_normalizedLabel: Nullable[str]

The normalized (lower case) label of a model entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.ReturnStatement(returnValue=None)[source]

Inheritance

Inheritance diagram of ReturnStatement

Parameters:

returnValue (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal)

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Label: str | None

Returns a model entity’s label.

Returns:

Label of a model entity.

property NormalizedLabel: str | None

Returns a model entity’s normalized (lower case) label.

Returns:

Normalized label of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(returnValue=None)[source]

Initializes a VHDL model entity.

Parameters:

returnValue (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal)

_label: Nullable[str]

The label of a model entity.

_normalizedLabel: Nullable[str]

The normalized (lower case) label of a model entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Sequential.WaitStatement(sensitivityList=None, condition=None, timeout=None, label=None)[source]

Inheritance

Inheritance diagram of WaitStatement

Parameters:
class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Label: str | None

Returns a model entity’s label.

Returns:

Label of a model entity.

property NormalizedLabel: str | None

Returns a model entity’s normalized (lower case) label.

Returns:

Normalized label of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_label: Nullable[str]

The label of a model entity.

_normalizedLabel: Nullable[str]

The normalized (lower case) label of a model entity.

_parent: ModelEntity

Reference to a parent entity in the model.

__init__(sensitivityList=None, condition=None, timeout=None, label=None)[source]

Initializes a VHDL model entity.

Parameters:
class pyVHDLModel.Sequential.SequentialDeclarationsMixin(declaredItems)[source]

Inheritance

Inheritance diagram of SequentialDeclarationsMixin

Parameters:

declaredItems (Iterable)

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

__init__(declaredItems)[source]
Parameters:

declaredItems (Iterable)