OSVVM & UVVM: Differences and Unification @umarcor

As the developer of Open Source VHDL Verification Methodology (OSVVM) , I would like to invite the Universal VHDL Verification Methodology (UVVM) community to join us in using and developing OSVVM.

At this point OSVVM and UVVM are largely duplicating what each other is doing. This wastes valuable time and resources that could be better spent with all of us working toward a common goal. Lets be honest, the SystemVerilog community went though these same growing pains when Siemens, Cadence, and Synopsys came together to unify URM (Cadence), AVM (Siemens), OVM (Cadence + Siemens), and VMM (Synopsys) into UVM.

Let me explain why OSVVM is the right methodology to go forward with.

https://www.linkedin.com/posts/jimwilliamlewis_osvvm-uvvm-differences-and-unification-activity-6859020534492090368-5KIN