Welcome to VHDL News! Please read the guidelines.

NEORV32: 32-bit RISC-V soft-core CPU and microcontroller-like SoC in VHDL @umarcor
CHIPS Alliance Announces Xilinx as its Newest Member @umarcor
OSVVM & UVVM: Differences and Unification @umarcor
What Can GitHub Tell Us About the HDL Industry? (Part 5) @umarcor
Joint ICTP, SAIFR and UNESP School on Systems-on-Chip, Embedded Microcontrollers and their Applications in Research and Industry @umarcor
#31 0 0 · 2021/10/21 · tags: vhdl, workshop, synthesis, simulation, fpga, foss, ghdl
Open Source Verification Bundle (OSVB) @umarcor
MINGW-packages for Electronic Design Automation (EDA) @umarcor
Mixed HDL on Fomu, with GHDL and Yosys @umarcor
VHDL/Verilog Cryptography cores incl. co-simulation with openSSL through GHDLs VHPIdirect @tmeissner
Building and deploying container images for open source EDA @eine
VHDL needs you! @umarcor
#22 2 0 · 2020/11/13 · tags: vhdl, LRM, VASG, LaTeX, GitLab
Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools @umarcor
Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools @umarcor
Structured constraint files for HDL designs targeting FPGA boards @umarcor
What are the chances of having sb_ice40_components_syn.vhd freely distributed for the benefit of the community? @umarcor
Docker dashboard (on Windows and Mac OS) @eine
How to convert vhdl to other formats @tmeissner
Combining VUnit tests with cocotb components @umarcor
SusanaCanel - Proyectos VHDL @umarcor
What Can GitHub Tell Us About the HDL Industry? (Part 4) @umarcor
Open Source Formal Verification in VHDL @Ahmad-Zaklouta
#13 1 0 · 2020/09/07
What Can GitHub Tell Us About the HDL Industry? (Part 3) @umarcor
Learning FPGA programming, key points for a software developer @eine
#10 1 0 · 2020/09/01 · tags: learning, fpga, programming
What’s new in VHDL-2019 - VHDLwhiz @tmeissner
#9 2 0 · 2020/08/28 · tags: vhdl-2019, ieee, verification, vhdlwhiz
First VHDL-2019 examples on EDA playground @tmeissner
Create your own VVC for UVVM @Ahmad-Zaklouta
#7 1 6 · 2020/08/19 · tags: verification, simulation, uvvm
What Can GitHub Tell Us About the HDL Industry? (Part 2) @eine
Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys) @tmeissner
Addressing VHDL Verification Challenges with OSVVM @tmeissner
#4 4 0 · 2020/08/18 · tags: verification, simulation, osvvm, mentor
What Can GitHub Tell Us About the HDL Industry? (Part 1) @eine
What Can GitHub Tell Us About the HDL Industry? @eine