Joint ICTP, SAIFR and UNESP School on Systems-on-Chip, Embedded Microcontrollers and their Applications in Research and Industry @umarcor
#31 0 0 · 2021/10/21 · tags: vhdl, workshop, synthesis, simulation, fpga, foss, ghdl
Mixed HDL on Fomu, with GHDL and Yosys @umarcor
Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools @umarcor
Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools @umarcor
Structured constraint files for HDL designs targeting FPGA boards @umarcor
What are the chances of having sb_ice40_components_syn.vhd freely distributed for the benefit of the community? @umarcor
Docker dashboard (on Windows and Mac OS) @eine
How to convert vhdl to other formats @tmeissner