Open Source Verification Bundle (OSVB) @umarcor
MINGW-packages for Electronic Design Automation (EDA) @umarcor
Mixed HDL on Fomu, with GHDL and Yosys @umarcor
VHDL/Verilog Cryptography cores incl. co-simulation with openSSL through GHDLs VHPIdirect @tmeissner
Building and deploying container images for open source EDA @eine
How to convert vhdl to other formats @tmeissner
Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys) @tmeissner