Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys) @tmeissner