Joint ICTP, SAIFR and UNESP School on Systems-on-Chip, Embedded Microcontrollers and their Applications in Research and Industry @umarcor
#31 0 0 · 2021/10/21 · tags: vhdl, workshop, synthesis, simulation, fpga, foss, ghdl
Open Source Verification Bundle (OSVB) @umarcor
MINGW-packages for Electronic Design Automation (EDA) @umarcor
Mixed HDL on Fomu, with GHDL and Yosys @umarcor
VHDL/Verilog Cryptography cores incl. co-simulation with openSSL through GHDLs VHPIdirect @tmeissner
Building and deploying container images for open source EDA @eine
Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools @umarcor
Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools @umarcor
How to convert vhdl to other formats @tmeissner
Combining VUnit tests with cocotb components @umarcor
SusanaCanel - Proyectos VHDL @umarcor
Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys) @tmeissner