Joint ICTP, SAIFR and UNESP School on Systems-on-Chip, Embedded Microcontrollers and their Applications in Research and Industry @umarcor
#31 0 0 · 2021/10/21 · tags: vhdl, workshop, synthesis, simulation, fpga, foss, ghdl
Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools @umarcor
Microwatt and GHDL - An Open Hardware CPU written in VHDL, Synthesized with Open Source Tools @umarcor
Docker dashboard (on Windows and Mac OS) @eine
Combining VUnit tests with cocotb components @umarcor
Create your own VVC for UVVM @Ahmad-Zaklouta
#7 1 6 · 2020/08/19 · tags: verification, simulation, uvvm
Addressing VHDL Verification Challenges with OSVVM @tmeissner
#4 4 0 · 2020/08/18 · tags: verification, simulation, osvvm, mentor