pyVHDLModel.STD

This module contains library and package declarations for VHDL library STD.

Classes

  • Std: Predefined VHDL library std.

  • Standard: Predefined package std.standard.

  • Standard_Body: Predefined package body of package std.standard.

  • TextIO: Predefined package std.textio.

  • TextIO_Body: Predefined package body of package std.textio.

  • Env: Predefined package std.env.

  • Env_Body: Predefined package body of package std.env.


Classes

class pyVHDLModel.STD.Std[source]

Predefined VHDL library std.

The following predefined packages are in this library:

See also

Other predefined libraries:

Inheritance

Inheritance diagram of Std

__init__()[source]

Initializes a VHDL model entity.

property Architectures: Dict[str, Dict[str, Architecture]]

Returns a list of all architectures declarations declared in this library.

property Configurations: Dict[str, Configuration]

Returns a list of all configuration declarations declared in this library.

property Contexts: Dict[str, Context]

Returns a list of all context declarations declared in this library.

property Entities: Dict[str, Entity]

Returns a list of all entity declarations declared in this library.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageBodies: Dict[str, PackageBody]

Returns a list of all package body declarations declared in this library.

property Packages: Dict[str, Package]

Returns a list of all package declarations declared in this library.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_architectures: Dict[str, Dict[str, Architecture]]

Dictionary of all architectures defined in a library.

_configurations: Dict[str, Configuration]

Dictionary of all configurations defined in a library.

_contexts: Dict[str, Context]

Dictionary of all contexts defined in a library.

_entities: Dict[str, Entity]

Dictionary of all entities defined in a library.

_identifier: str

The identifier of a model entity.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageBodies: Dict[str, PackageBody]

Dictionary of all package bodies defined in a library.

_packages: Dict[str, Package]

Dictionary of all packages defined in a library.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.STD.Standard[source]

Predefined package std.standard.

Predefined types:

  • boolean, boolean_vector

  • bit, bit_vector

  • character, string

  • integer, integer_vector

  • natural, positive

  • real, real_vector

  • time, time_vector

  • open_file_kind, open_file_status

See also

Matching Package Body declaration.

Inheritance

Inheritance diagram of Standard

__init__()[source]

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.STD.Standard_Body[source]

Predefined package body of package std.standard.

See also

Matching Package declaration.

Inheritance

Inheritance diagram of Standard_Body

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__()

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.STD.TextIO[source]

Predefined package std.textio.

See also

Matching Package Body declaration.

Inheritance

Inheritance diagram of TextIO

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__()

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.STD.TextIO_Body[source]

Predefined package body of package std.textio.

See also

Matching Package declaration.

Inheritance

Inheritance diagram of TextIO_Body

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__()

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.STD.Env[source]

Predefined package std.env.

See also

Matching Package Body declaration.

Inheritance

Inheritance diagram of Env

__init__()[source]

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.STD.Env_Body[source]

Predefined package body of package std.env.

See also

Matching Package declaration.

Inheritance

Inheritance diagram of Env_Body

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__()

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance