pyVHDLModel.DesignUnit

This module contains parts of an abstract document language model for VHDL.

Design units are contexts, entities, architectures, packages and their bodies as well as configurations.

Classes


Classes

class pyVHDLModel.DesignUnit.Reference(symbols)[source]

A base-class for all references.

Inheritance

Inheritance diagram of Reference

Parameters:

symbols (Iterable[Symbol])

__init__(symbols)[source]

Initializes a VHDL model entity.

Parameters:

symbols (Iterable[Symbol])

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.DesignUnit.LibraryClause(symbols)[source]

Represents a library clause.

Example

library ieee;

Inheritance

Inheritance diagram of LibraryClause

Parameters:

symbols (Iterable[Symbol])

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(symbols)

Initializes a VHDL model entity.

Parameters:

symbols (Iterable[Symbol])

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.DesignUnit.UseClause(symbols)[source]

Represents a use clause.

Example

use ieee.numeric_std.all;

Inheritance

Inheritance diagram of UseClause

Parameters:

symbols (Iterable[Symbol])

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(symbols)

Initializes a VHDL model entity.

Parameters:

symbols (Iterable[Symbol])

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.DesignUnit.ContextReference(symbols)[source]

Represents a context reference.

Hint

It’s called context reference not context clause by the LRM.

Example

context ieee.ieee_std_context;

Inheritance

Inheritance diagram of ContextReference

Parameters:

symbols (Iterable[Symbol])

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(symbols)

Initializes a VHDL model entity.

Parameters:

symbols (Iterable[Symbol])

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.DesignUnit.DesignUnitWithContextMixin[source]

Inheritance

Inheritance diagram of DesignUnitWithContextMixin

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

class pyVHDLModel.DesignUnit.DesignUnit(identifier, contextItems=None, documentation=None)[source]

A base-class for all design units.

Inheritance

Inheritance diagram of DesignUnit

Parameters:
__init__(identifier, contextItems=None, documentation=None)[source]

Initializes a design unit.

Parameters:
_library: Library

The VHDL library, the design unit was analyzed into.

_contextItems: List[ContextUnion]

List of all context items (library, use and context clauses).

_libraryReferences: List[LibraryClause]

List of library clauses.

_packageReferences: List[UseClause]

List of use clauses.

_contextReferences: List[ContextReference]

List of context clauses.

_referencedLibraries: Dict[str, Library]

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, Package]]

Referenced packages based on explicit use clauses or implicit inheritance

_referencedContexts: Dict[str, Context]

Referenced contexts based on explicit context references or implicit inheritance

_dependencyVertex: Vertex[None, None, str, DesignUnit, None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_hierarchyVertex: Vertex[None, None, str, DesignUnit, None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

_identifier: str

The identifier of a model entity.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_documentation: Nullable[str]

The associated documentation of a model entity.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.DesignUnit.PrimaryUnit(identifier, contextItems=None, documentation=None)[source]

A base-class for all primary design units.

Inheritance

Inheritance diagram of PrimaryUnit

Parameters:
property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(identifier, contextItems=None, documentation=None)

Initializes a design unit.

Parameters:
_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.DesignUnit.SecondaryUnit(identifier, contextItems=None, documentation=None)[source]

A base-class for all secondary design units.

Inheritance

Inheritance diagram of SecondaryUnit

Parameters:
property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(identifier, contextItems=None, documentation=None)

Initializes a design unit.

Parameters:
_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.DesignUnit.Context(identifier, references=None, documentation=None)[source]

Represents a context declaration.

A context contains a generic list of all its items (library clauses, use clauses and context references) in _references.

Furthermore, when a context gets initialized, the item kinds get separated into individual lists:

When pyVHDLModel.Design.LinkContexts() got called, these lists were processed and the fields:

are populated.

Example

context ctx is
  -- ...
end context;

Inheritance

Inheritance diagram of Context

Parameters:
__init__(identifier, references=None, documentation=None)[source]

Initializes a design unit.

Parameters:
  • identifier (str) – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation (str) – Associated documentation of the design unit.

  • references (Iterable[LibraryClause | UseClause | ContextReference])

_libraryReferences: List['LibraryClause']

List of library clauses.

_packageReferences: List['UseClause']

List of use clauses.

_contextReferences: List['ContextReference']

List of context clauses.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

__str__()[source]

Return str(self).

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.DesignUnit.Package(identifier, contextItems=None, genericItems=None, declaredItems=None, documentation=None)[source]

Represents a package declaration.

Example

package pkg is
  -- ...
end package;

Inheritance

Inheritance diagram of Package

Parameters:
__init__(identifier, contextItems=None, genericItems=None, declaredItems=None, documentation=None)[source]

Initializes a design unit.

Parameters:
__str__()[source]

Return str(self).

Return type:

str

__repr__()[source]

Return repr(self).

Return type:

str

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.DesignUnit.PackageBody(packageSymbol, contextItems=None, declaredItems=None, documentation=None)[source]

Represents a package body declaration.

Example

package body pkg is
  -- ...
end package body;

Inheritance

Inheritance diagram of PackageBody

Parameters:
__init__(packageSymbol, contextItems=None, declaredItems=None, documentation=None)[source]

Initializes a design unit.

Parameters:
__str__()[source]

Return str(self).

Return type:

str

__repr__()[source]

Return repr(self).

Return type:

str

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.DesignUnit.Entity(identifier, contextItems=None, genericItems=None, portItems=None, declaredItems=None, statements=None, documentation=None)[source]

Represents an entity declaration.

Example

entity ent is
  -- ...
end entity;

Inheritance

Inheritance diagram of Entity

Parameters:
__init__(identifier, contextItems=None, genericItems=None, portItems=None, declaredItems=None, statements=None, documentation=None)[source]

Initializes a design unit.

Parameters:
property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

__str__()[source]

Return str(self).

Return type:

str

__repr__()[source]

Return repr(self).

Return type:

str

class pyVHDLModel.DesignUnit.Architecture(identifier, entity, contextItems=None, declaredItems=None, statements=None, documentation=None)[source]

Represents an architecture declaration.

Example

architecture rtl of ent is
  -- ...
begin
  -- ...
end architecture;

Inheritance

Inheritance diagram of Architecture

Parameters:
property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

__init__(identifier, entity, contextItems=None, declaredItems=None, statements=None, documentation=None)[source]

Initializes a design unit.

Parameters:
__str__()[source]

Return str(self).

Return type:

str

__repr__()[source]

Return repr(self).

Return type:

str

class pyVHDLModel.DesignUnit.Component(identifier, genericItems=None, portItems=None, documentation=None)[source]

Represents a configuration declaration.

Example

component ent is
  -- ...
end component;

Inheritance

Inheritance diagram of Component

Parameters:
_identifier: str

The identifier of a model entity.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_documentation: Optional[str]

The associated documentation of a model entity.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: ModelEntity

Reference to a parent entity in the model.

__init__(identifier, genericItems=None, portItems=None, documentation=None)[source]

Initializes a VHDL model entity.

Parameters:
class pyVHDLModel.DesignUnit.Configuration(identifier, contextItems=None, documentation=None)[source]

Represents a configuration declaration.

Example

configuration cfg of ent is
  for rtl
    -- ...
  end for;
end configuration;

Inheritance

Inheritance diagram of Configuration

Parameters:
property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

__init__(identifier, contextItems=None, documentation=None)[source]

Initializes a design unit.

Parameters:
  • identifier (str) – Identifier (name) of the design unit.

  • contextItems (Iterable[Context]) – A sequence of library, use or context clauses.

  • documentation (str) – Associated documentation of the design unit.

__str__()[source]

Return str(self).

Return type:

str

__repr__()[source]

Return repr(self).

Return type:

str