pyVHDLModel.IEEE

This module contains library and package declarations for VHDL library IEEE.

Classes


Classes

class pyVHDLModel.IEEE.Ieee[source]

Predefined VHDL library ieee.

The following predefined packages are in this library:

See also

Other predefined libraries:

Inheritance

Inheritance diagram of Ieee

__init__()[source]

Initializes a VHDL model entity.

property Architectures: Dict[str, Dict[str, Architecture]]

Returns a list of all architectures declarations declared in this library.

property Configurations: Dict[str, Configuration]

Returns a list of all configuration declarations declared in this library.

property Contexts: Dict[str, Context]

Returns a list of all context declarations declared in this library.

property Entities: Dict[str, Entity]

Returns a list of all entity declarations declared in this library.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageBodies: Dict[str, PackageBody]

Returns a list of all package body declarations declared in this library.

property Packages: Dict[str, Package]

Returns a list of all package declarations declared in this library.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_architectures: Dict[str, Dict[str, Architecture]]

Dictionary of all architectures defined in a library.

_configurations: Dict[str, Configuration]

Dictionary of all configurations defined in a library.

_contexts: Dict[str, Context]

Dictionary of all contexts defined in a library.

_entities: Dict[str, Entity]

Dictionary of all entities defined in a library.

_identifier: str

The identifier of a model entity.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageBodies: Dict[str, PackageBody]

Dictionary of all package bodies defined in a library.

_packages: Dict[str, Package]

Dictionary of all packages defined in a library.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.IEEE.Math_Real[source]

Predefined package ieee.math_real.

Inheritance

Inheritance diagram of Math_Real

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__()

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.IEEE.Math_Real_Body[source]

Predefined package body of package ieee.math_real.

Inheritance

Inheritance diagram of Math_Real_Body

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__()

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.IEEE.Math_Complex[source]

Predefined package ieee.math_complex.

Inheritance

Inheritance diagram of Math_Complex

__init__()[source]

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.IEEE.Math_Complex_Body[source]

Predefined package body of package ieee.math_complex.

Inheritance

Inheritance diagram of Math_Complex_Body

__init__()[source]

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.IEEE.Std_logic_1164[source]

Predefined package ieee.std_logic_1164.

Predefined types:

  • std_ulogic, std_ulogic_vector

  • std_logic, std_logic_vector

Inheritance

Inheritance diagram of Std_logic_1164

__init__()[source]

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.IEEE.Std_logic_1164_Body[source]

Predefined package body of package ieee.std_logic_1164.

Inheritance

Inheritance diagram of Std_logic_1164_Body

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__()

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.IEEE.std_logic_textio[source]

Predefined package ieee.std_logic_textio.

Inheritance

Inheritance diagram of std_logic_textio

__init__()[source]

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.IEEE.Std_logic_misc[source]

Predefined package ieee.std_logic_misc.

Inheritance

Inheritance diagram of Std_logic_misc

__init__()[source]

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.IEEE.Std_logic_misc_Body[source]

Predefined package body of package ieee.std_logic_misc.

Inheritance

Inheritance diagram of Std_logic_misc_Body

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__()

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.IEEE.Numeric_Bit[source]

Predefined package ieee.numeric_bit.

Inheritance

Inheritance diagram of Numeric_Bit

__init__()[source]

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.IEEE.Numeric_Bit_Body[source]

Predefined package body of package ieee.numeric_bit.

Inheritance

Inheritance diagram of Numeric_Bit_Body

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__()

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.IEEE.Numeric_Bit_Unsigned[source]

Predefined package ieee.numeric_bit_unsigned.

Inheritance

Inheritance diagram of Numeric_Bit_Unsigned

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__()

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body[source]

Predefined package body of package ieee.numeric_bit_unsigned.

Inheritance

Inheritance diagram of Numeric_Bit_Unsigned_Body

__init__()[source]

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.IEEE.Numeric_Std[source]

Predefined package ieee.numeric_std.

Predefined types:

  • unresolved_unsigned, unsigned

  • unresolved_signed, signed

Inheritance

Inheritance diagram of Numeric_Std

__init__()[source]

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.IEEE.Numeric_Std_Body[source]

Predefined package body of package ieee.numeric_std.

Inheritance

Inheritance diagram of Numeric_Std_Body

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__()

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.IEEE.Numeric_Std_Unsigned[source]

Predefined package ieee.numeric_std_unsigned.

Inheritance

Inheritance diagram of Numeric_Std_Unsigned

__init__()[source]

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body[source]

Predefined package body of package ieee.numeric_std_unsigned.

Inheritance

Inheritance diagram of Numeric_Std_Unsigned_Body

__init__()[source]

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.IEEE.Fixed_Float_Types[source]

Predefined package ieee.fixed_float_types.

Inheritance

Inheritance diagram of Fixed_Float_Types

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__()

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.IEEE.Fixed_Generic_Pkg[source]

Predefined package ieee.fixed_generic_pkg.

Inheritance

Inheritance diagram of Fixed_Generic_Pkg

__init__()[source]

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body[source]

Predefined package body of package ieee.fixed_generic_pkg.

Inheritance

Inheritance diagram of Fixed_Generic_Pkg_Body

__init__()[source]

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.IEEE.Fixed_Pkg[source]

Predefined package ieee.fixed_pkg.

Inheritance

Inheritance diagram of Fixed_Pkg

__init__()[source]

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.IEEE.Float_Generic_Pkg[source]

Predefined package ieee.float_generic_pkg.

Inheritance

Inheritance diagram of Float_Generic_Pkg

__init__()[source]

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.IEEE.Float_Generic_Pkg_Body[source]

Predefined package body of package ieee.float_generic_pkg.

Inheritance

Inheritance diagram of Float_Generic_Pkg_Body

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__()

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.IEEE.Float_Pkg[source]

Predefined package ieee.float_pkg.

Inheritance

Inheritance diagram of Float_Pkg

__init__()[source]

Initializes a design unit.

Parameters:
  • identifier – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_contextItems: List['ContextUnion']

List of all context items (library, use and context clauses).

_contextReferences: List['ContextReference']

List of context clauses.

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the dependency graph

_documentation: Nullable[str]

The associated documentation of a model entity.

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]

The vertex in the hierarchy graph

_identifier: str

The identifier of a model entity.

_library: Library

The VHDL library, the design unit was analyzed into.

_libraryReferences: List['LibraryClause']

List of library clauses.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_packageReferences: List['UseClause']

List of use clauses.

_parent: ModelEntity

Reference to a parent entity in the model.

_referencedContexts: Dict[str, 'Context']

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: Dict[str, 'Library']

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]

Referenced packages based on explicit use clauses or implicit inheritance