pyVHDLModel.Subprogram

This module contains parts of an abstract document language model for VHDL.

Subprograms are procedures, functions and methods.

Classes

  • Subprogram: ModelEntity is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple

  • Procedure: ModelEntity is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple

  • Function: ModelEntity is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple

  • MethodMixin: A Method is a mixin class for all subprograms in a protected type.

  • ProcedureMethod: ModelEntity is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple

  • FunctionMethod: ModelEntity is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple


Classes

class pyVHDLModel.Subprogram.Subprogram(identifier, documentation=None)[source]

Inheritance

Inheritance diagram of Subprogram

Parameters:
  • identifier (str)

  • documentation (str)

__init__(identifier, documentation=None)[source]

Initializes a VHDL model entity.

Parameters:
  • identifier (str)

  • documentation (str)

_identifier: str

The identifier of a model entity.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_documentation: Nullable[str]

The associated documentation of a model entity.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Subprogram.Procedure(identifier, documentation=None)[source]

Inheritance

Inheritance diagram of Procedure

Parameters:
  • identifier (str)

  • documentation (str)

__init__(identifier, documentation=None)[source]

Initializes a VHDL model entity.

Parameters:
  • identifier (str)

  • documentation (str)

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_documentation: Nullable[str]

The associated documentation of a model entity.

_identifier: str

The identifier of a model entity.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Subprogram.Function(identifier, isPure=True, documentation=None)[source]

Inheritance

Inheritance diagram of Function

Parameters:
  • identifier (str)

  • isPure (bool)

  • documentation (str)

__init__(identifier, isPure=True, documentation=None)[source]

Initializes a VHDL model entity.

Parameters:
  • identifier (str)

  • isPure (bool)

  • documentation (str)

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_documentation: Nullable[str]

The associated documentation of a model entity.

_identifier: str

The identifier of a model entity.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_parent: ModelEntity

Reference to a parent entity in the model.

class pyVHDLModel.Subprogram.MethodMixin(protectedType)[source]

A Method is a mixin class for all subprograms in a protected type.

Inheritance

Inheritance diagram of MethodMixin

Parameters:

protectedType (ProtectedType)

__init__(protectedType)[source]
Parameters:

protectedType (ProtectedType)

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

class pyVHDLModel.Subprogram.ProcedureMethod(identifier, protectedType)[source]

Inheritance

Inheritance diagram of ProcedureMethod

Parameters:
property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_documentation: Nullable[str]

The associated documentation of a model entity.

_identifier: str

The identifier of a model entity.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_parent: ModelEntity

Reference to a parent entity in the model.

__init__(identifier, protectedType)[source]

Initializes a VHDL model entity.

Parameters:
class pyVHDLModel.Subprogram.FunctionMethod(identifier, protectedType)[source]

Inheritance

Inheritance diagram of FunctionMethod

Parameters:
property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_documentation: Nullable[str]

The associated documentation of a model entity.

_identifier: str

The identifier of a model entity.

_normalizedIdentifier: str

The normalized (lower case) identifier of a model entity.

_parent: ModelEntity

Reference to a parent entity in the model.

__init__(identifier, protectedType)[source]

Initializes a VHDL model entity.

Parameters: