pyVHDLModel.Symbol¶
This module contains parts of an abstract document language model for VHDL.
Symbols are entity specific wrappers for names that reference VHDL language entities.
Classes
PossibleReference
: Is an enumeration, representing possible targets for a reference in aSymbol
.Symbol
: Base-class for all symbol classes.LibraryReferenceSymbol
: Represents a reference (name) to a library.PackageReferenceSymbol
: Represents a reference (name) to a package.ContextReferenceSymbol
: Represents a reference (name) to a context.PackageMemberReferenceSymbol
: Represents a reference (name) to a package member.AllPackageMembersReferenceSymbol
: Represents a reference (name) to all package members.EntityInstantiationSymbol
: Represents a reference (name) to an entity in a direct entity instantiation.ComponentInstantiationSymbol
: Represents a reference (name) to an entity in a component instantiation.ConfigurationInstantiationSymbol
: Represents a reference (name) to an entity in a configuration instantiation.EntitySymbol
: Represents a reference (name) to an entity in an architecture declaration.ArchitectureSymbol
: An entity reference in an entity instantiation with architecture name.PackageSymbol
: Represents a reference (name) to a package in a package body declaration.SubtypeSymbol
: Base-class for all symbol classes.SimpleSubtypeSymbol
: A configuration reference in a configuration instantiation.ConstrainedScalarSubtypeSymbol
: A configuration reference in a configuration instantiation.ConstrainedCompositeSubtypeSymbol
: A configuration reference in a configuration instantiation.SimpleObjectOrFunctionCallSymbol
: Base-class for all symbol classes.IndexedObjectOrFunctionCallSymbol
: Base-class for all symbol classes.
Classes
- class pyVHDLModel.Symbol.PossibleReference[source]¶
Is an enumeration, representing possible targets for a reference in a
Symbol
.Inheritance
- Library = 1¶
Library
- Entity = 2¶
Entity
- Architecture = 4¶
Architecture
- Component = 8¶
Component
- Package = 16¶
Package
- Configuration = 32¶
Configuration
- Context = 64¶
Context
- Type = 128¶
Type
- Subtype = 256¶
Subtype
- ScalarType = 512¶
ScalarType
- ArrayType = 1024¶
ArrayType
- RecordType = 2048¶
RecordType
- AccessType = 4096¶
AccessType
- ProtectedType = 8192¶
ProtectedType
- FileType = 16384¶
FileType
- Attribute = 32768¶
Attribute
- TypeAttribute = 65536¶
TypeAttribute
- ValueAttribute = 131072¶
ValueAttribute
- SignalAttribute = 262144¶
SignalAttribute
- RangeAttribute = 524288¶
RangeAttribute
- ViewAttribute = 1048576¶
ViewAttribute
- Constant = 2097152¶
Constant
- Variable = 4194304¶
Variable
- Signal = 8388608¶
Signal
- File = 16777216¶
File
- EnumLiteral = 33554432¶
EnumLiteral
- Procedure = 67108864¶
Procedure
- Function = 134217728¶
Function
- Label = 268435456¶
Label
- View = 536870912¶
View
- AnyType = 32512¶
Any possible type incl. subtypes.
- SubProgram = 201326592¶
Any subprogram
- PackageMember = 216039176¶
Any member of a package
- SimpleNameInExpression = 182452736¶
Any possible item in an expression.
- __contains__(other)¶
Returns True if self has at least the same flags set as other.
- __iter__()¶
Returns flags in definition order.
- __len__()¶
Return the number of members (no aliases)
- class pyVHDLModel.Symbol.Symbol[source]¶
Base-class for all symbol classes.
Inheritance
- __init__(name, possibleReferences)[source]¶
- Parameters:
name (Name) –
possibleReferences (PossibleReference) –
-
_possibleReferences:
PossibleReference
¶ An enumeration to filter possible references.
- class pyVHDLModel.Symbol.LibraryReferenceSymbol[source]¶
Represents a reference (name) to a library.
The internal name will be a
SimpleName
.Example
library ieee; -- ^^^^
Inheritance
-
_possibleReferences:
PossibleReference
¶ An enumeration to filter possible references.
-
_possibleReferences:
- class pyVHDLModel.Symbol.PackageReferenceSymbol[source]¶
Represents a reference (name) to a package.
The internal name will be a
SelectedName
.Example
use ieee.numeric_std; -- ^^^^^^^^^^^^^^^^
Inheritance
-
_possibleReferences:
PossibleReference
¶ An enumeration to filter possible references.
-
_possibleReferences:
- class pyVHDLModel.Symbol.ContextReferenceSymbol[source]¶
Represents a reference (name) to a context.
The internal name will be a
SelectedName
.Example
context ieee.ieee_std_context; -- ^^^^^^^^^^^^^^^^^^^^^
Inheritance
-
_possibleReferences:
PossibleReference
¶ An enumeration to filter possible references.
-
_possibleReferences:
- class pyVHDLModel.Symbol.PackageMemberReferenceSymbol[source]¶
Represents a reference (name) to a package member.
The internal name will be a
SelectedName
.Example
use ieee.numeric_std.unsigned; -- ^^^^^^^^^^^^^^^^^^^^^^^^^
Inheritance
-
_possibleReferences:
PossibleReference
¶ An enumeration to filter possible references.
-
_possibleReferences:
- class pyVHDLModel.Symbol.AllPackageMembersReferenceSymbol[source]¶
Represents a reference (name) to all package members.
The internal name will be a
AllName
.Example
use ieee.numeric_std.all; -- ^^^^^^^^^^^^^^^^^^^^
Inheritance
-
_possibleReferences:
PossibleReference
¶ An enumeration to filter possible references.
-
_possibleReferences:
- class pyVHDLModel.Symbol.EntityInstantiationSymbol[source]¶
Represents a reference (name) to an entity in a direct entity instantiation.
The internal name will be a
SimpleName
orSelectedName
.Example
inst : entity work.Counter; -- ^^^^^^^^^^^^
Inheritance
-
_possibleReferences:
PossibleReference
¶ An enumeration to filter possible references.
-
_possibleReferences:
- class pyVHDLModel.Symbol.ComponentInstantiationSymbol[source]¶
Represents a reference (name) to an entity in a component instantiation.
The internal name will be a
SimpleName
orSelectedName
.Example
inst : component Counter; -- ^^^^^^^
Inheritance
-
_possibleReferences:
PossibleReference
¶ An enumeration to filter possible references.
-
_possibleReferences:
- class pyVHDLModel.Symbol.ConfigurationInstantiationSymbol[source]¶
Represents a reference (name) to an entity in a configuration instantiation.
The internal name will be a
SimpleName
orSelectedName
.Example
inst : configuration Counter; -- ^^^^^^^
Inheritance
-
_possibleReferences:
PossibleReference
¶ An enumeration to filter possible references.
-
_possibleReferences:
- class pyVHDLModel.Symbol.EntitySymbol[source]¶
Represents a reference (name) to an entity in an architecture declaration.
The internal name will be a
SimpleName
orSelectedName
.Example
architecture rtl of Counter is -- ^^^^^^^ begin end architecture;
Inheritance
-
_possibleReferences:
PossibleReference
¶ An enumeration to filter possible references.
-
_possibleReferences:
- class pyVHDLModel.Symbol.ArchitectureSymbol[source]¶
An entity reference in an entity instantiation with architecture name.
Inheritance
-
_possibleReferences:
PossibleReference
¶ An enumeration to filter possible references.
-
_possibleReferences:
- class pyVHDLModel.Symbol.PackageSymbol[source]¶
Represents a reference (name) to a package in a package body declaration.
The internal name will be a
SimpleName
orSelectedName
.Example
package body Utilities is -- ^^^^^^^^^ end package body;
Inheritance
-
_possibleReferences:
PossibleReference
¶ An enumeration to filter possible references.
-
_possibleReferences:
- class pyVHDLModel.Symbol.SubtypeSymbol[source]¶
Inheritance
-
_possibleReferences:
PossibleReference
¶ An enumeration to filter possible references.
-
_possibleReferences:
- class pyVHDLModel.Symbol.SimpleSubtypeSymbol[source]¶
A configuration reference in a configuration instantiation.
Inheritance
-
_possibleReferences:
PossibleReference
¶ An enumeration to filter possible references.
-
_possibleReferences:
- class pyVHDLModel.Symbol.ConstrainedScalarSubtypeSymbol[source]¶
A configuration reference in a configuration instantiation.
Inheritance
-
_possibleReferences:
PossibleReference
¶ An enumeration to filter possible references.
-
_possibleReferences:
- class pyVHDLModel.Symbol.ConstrainedCompositeSubtypeSymbol[source]¶
A configuration reference in a configuration instantiation.
Inheritance
-
_possibleReferences:
PossibleReference
¶ An enumeration to filter possible references.
-
_possibleReferences:
- class pyVHDLModel.Symbol.SimpleObjectOrFunctionCallSymbol[source]¶
Inheritance
-
_possibleReferences:
PossibleReference
¶ An enumeration to filter possible references.
-
_possibleReferences:
- class pyVHDLModel.Symbol.IndexedObjectOrFunctionCallSymbol[source]¶
Inheritance
-
_possibleReferences:
PossibleReference
¶ An enumeration to filter possible references.
-
_possibleReferences: