pyVHDLModel.Symbol

This module contains parts of an abstract document language model for VHDL.

Symbols are entity specific wrappers for names that reference VHDL language entities.

Classes


Classes

class pyVHDLModel.Symbol.PossibleReference(value, names=<not given>, *values, module=None, qualname=None, type=None, start=1, boundary=None)[source]

Is an enumeration, representing possible targets for a reference in a Symbol.

Inheritance

Inheritance diagram of PossibleReference

Library = 1

Library

Entity = 2

Entity

Architecture = 4

Architecture

Component = 8

Component

Package = 16

Package

Configuration = 32

Configuration

Context = 64

Context

Type = 128

Type

Subtype = 256

Subtype

ScalarType = 512

ScalarType

ArrayType = 1024

ArrayType

RecordType = 2048

RecordType

AccessType = 4096

AccessType

ProtectedType = 8192

ProtectedType

FileType = 16384

FileType

Attribute = 32768

Attribute

TypeAttribute = 65536

TypeAttribute

ValueAttribute = 131072

ValueAttribute

SignalAttribute = 262144

SignalAttribute

RangeAttribute = 524288

RangeAttribute

ViewAttribute = 1048576

ViewAttribute

Constant = 2097152

Constant

Variable = 4194304

Variable

Signal = 8388608

Signal

File = 16777216

File

EnumLiteral = 33554432

EnumLiteral

Procedure = 67108864

Procedure

Function = 134217728

Function

Label = 268435456

Label

View = 536870912

View

AnyType = 32512

Any possible type incl. subtypes.

SubProgram = 201326592

Any subprogram

PackageMember = 216039176

Any member of a package

SimpleNameInExpression = 182452736

Any possible item in an expression.

static _generate_next_value_(name, start, count, last_values)

Generate the next value when not given.

name: the name of the member start: the initial start value or None count: the number of existing members last_values: the last value assigned or None

_numeric_repr_()

Return the canonical string representation of the object.

For many object types, including most builtins, eval(repr(obj)) == obj.

classmethod _iter_member_by_value_(value)

Extract all members from the value in definition (i.e. increasing value) order.

classmethod _iter_member_(value)

Extract all members from the value in definition (i.e. increasing value) order.

classmethod _iter_member_by_def_(value)

Extract all members from the value in definition order.

classmethod _missing_(value)

Create a composite member containing all canonical members present in value.

If non-member values are present, result depends on _boundary_ setting.

__contains__(other)

Returns True if self has at least the same flags set as other.

__iter__()

Returns flags in definition order.

__len__()

Return the number of members (no aliases)

__repr__()

Return repr(self).

__str__()

Return str(self).

__bool__()

classes/types should always be True.

__or__(other)

Return self|value.

__ror__(other)

Return value|self.

__new__(value)
class pyVHDLModel.Symbol.Symbol(name, possibleReferences)[source]

Base-class for all symbol classes.

Inheritance

Inheritance diagram of Symbol

Parameters:
__init__(name, possibleReferences)[source]
Parameters:
_name: Name

The name to reference the langauge entity.

_possibleReferences: PossibleReference

An enumeration to filter possible references.

_reference: Optional[Any]

The resolved language entity, otherwise None.

__repr__()[source]

Return repr(self).

Return type:

str

__str__()[source]

Return str(self).

Return type:

str

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

class pyVHDLModel.Symbol.LibraryReferenceSymbol(name)[source]

Represents a reference (name) to a library.

The internal name will be a SimpleName.

Example

library ieee;
--      ^^^^

Inheritance

Inheritance diagram of LibraryReferenceSymbol

Parameters:

name (Name)

__init__(name)[source]
Parameters:

name (Name)

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_name: Name

The name to reference the langauge entity.

_possibleReferences: PossibleReference

An enumeration to filter possible references.

_reference: Optional[Any]

The resolved language entity, otherwise None.

class pyVHDLModel.Symbol.PackageReferenceSymbol(name)[source]

Represents a reference (name) to a package.

The internal name will be a SelectedName.

Example

use ieee.numeric_std;
--  ^^^^^^^^^^^^^^^^

Inheritance

Inheritance diagram of PackageReferenceSymbol

Parameters:

name (Name)

__init__(name)[source]
Parameters:

name (Name)

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_name: Name

The name to reference the langauge entity.

_possibleReferences: PossibleReference

An enumeration to filter possible references.

_reference: Optional[Any]

The resolved language entity, otherwise None.

class pyVHDLModel.Symbol.ContextReferenceSymbol(name)[source]

Represents a reference (name) to a context.

The internal name will be a SelectedName.

Example

context ieee.ieee_std_context;
--      ^^^^^^^^^^^^^^^^^^^^^

Inheritance

Inheritance diagram of ContextReferenceSymbol

Parameters:

name (Name)

__init__(name)[source]
Parameters:

name (Name)

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_name: Name

The name to reference the langauge entity.

_possibleReferences: PossibleReference

An enumeration to filter possible references.

_reference: Optional[Any]

The resolved language entity, otherwise None.

class pyVHDLModel.Symbol.PackageMemberReferenceSymbol(name)[source]

Represents a reference (name) to a package member.

The internal name will be a SelectedName.

Example

use ieee.numeric_std.unsigned;
--  ^^^^^^^^^^^^^^^^^^^^^^^^^

Inheritance

Inheritance diagram of PackageMemberReferenceSymbol

Parameters:

name (Name)

__init__(name)[source]
Parameters:

name (Name)

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_name: Name

The name to reference the langauge entity.

_possibleReferences: PossibleReference

An enumeration to filter possible references.

_reference: Optional[Any]

The resolved language entity, otherwise None.

class pyVHDLModel.Symbol.AllPackageMembersReferenceSymbol(name)[source]

Represents a reference (name) to all package members.

The internal name will be a AllName.

Example

use ieee.numeric_std.all;
--  ^^^^^^^^^^^^^^^^^^^^

Inheritance

Inheritance diagram of AllPackageMembersReferenceSymbol

Parameters:

name (AllName)

__init__(name)[source]
Parameters:

name (AllName)

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_name: Name

The name to reference the langauge entity.

_possibleReferences: PossibleReference

An enumeration to filter possible references.

_reference: Optional[Any]

The resolved language entity, otherwise None.

class pyVHDLModel.Symbol.EntityInstantiationSymbol(name)[source]

Represents a reference (name) to an entity in a direct entity instantiation.

The internal name will be a SimpleName or SelectedName.

Example

inst : entity work.Counter;
--            ^^^^^^^^^^^^

Inheritance

Inheritance diagram of EntityInstantiationSymbol

Parameters:

name (Name)

__init__(name)[source]
Parameters:

name (Name)

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_name: Name

The name to reference the langauge entity.

_possibleReferences: PossibleReference

An enumeration to filter possible references.

_reference: Optional[Any]

The resolved language entity, otherwise None.

class pyVHDLModel.Symbol.ComponentInstantiationSymbol(name)[source]

Represents a reference (name) to an entity in a component instantiation.

The internal name will be a SimpleName or SelectedName.

Example

inst : component Counter;
--               ^^^^^^^

Inheritance

Inheritance diagram of ComponentInstantiationSymbol

Parameters:

name (Name)

__init__(name)[source]
Parameters:

name (Name)

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_name: Name

The name to reference the langauge entity.

_possibleReferences: PossibleReference

An enumeration to filter possible references.

_reference: Optional[Any]

The resolved language entity, otherwise None.

class pyVHDLModel.Symbol.ConfigurationInstantiationSymbol(name)[source]

Represents a reference (name) to an entity in a configuration instantiation.

The internal name will be a SimpleName or SelectedName.

Example

inst : configuration Counter;
--                   ^^^^^^^

Inheritance

Inheritance diagram of ConfigurationInstantiationSymbol

Parameters:

name (Name)

__init__(name)[source]
Parameters:

name (Name)

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_name: Name

The name to reference the langauge entity.

_possibleReferences: PossibleReference

An enumeration to filter possible references.

_reference: Optional[Any]

The resolved language entity, otherwise None.

class pyVHDLModel.Symbol.EntitySymbol(name)[source]

Represents a reference (name) to an entity in an architecture declaration.

The internal name will be a SimpleName or SelectedName.

Example

architecture rtl of Counter is
--                  ^^^^^^^
begin
end architecture;

Inheritance

Inheritance diagram of EntitySymbol

Parameters:

name (Name)

__init__(name)[source]
Parameters:

name (Name)

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_name: Name

The name to reference the langauge entity.

_possibleReferences: PossibleReference

An enumeration to filter possible references.

_reference: Optional[Any]

The resolved language entity, otherwise None.

class pyVHDLModel.Symbol.ArchitectureSymbol(name)[source]

An entity reference in an entity instantiation with architecture name.

Inheritance

Inheritance diagram of ArchitectureSymbol

Parameters:

name (Name)

__init__(name)[source]
Parameters:

name (Name)

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_name: Name

The name to reference the langauge entity.

_possibleReferences: PossibleReference

An enumeration to filter possible references.

_reference: Optional[Any]

The resolved language entity, otherwise None.

class pyVHDLModel.Symbol.PackageSymbol(name)[source]

Represents a reference (name) to a package in a package body declaration.

The internal name will be a SimpleName or SelectedName.

Example

package body Utilities is
--           ^^^^^^^^^
end package body;

Inheritance

Inheritance diagram of PackageSymbol

Parameters:

name (Name)

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_name: Name

The name to reference the langauge entity.

_possibleReferences: PossibleReference

An enumeration to filter possible references.

_reference: Optional[Any]

The resolved language entity, otherwise None.

__init__(name)[source]
Parameters:

name (Name)

class pyVHDLModel.Symbol.SubtypeSymbol(name)[source]

Inheritance

Inheritance diagram of SubtypeSymbol

Parameters:

name (Name)

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_name: Name

The name to reference the langauge entity.

_possibleReferences: PossibleReference

An enumeration to filter possible references.

_reference: Optional[Any]

The resolved language entity, otherwise None.

__init__(name)[source]
Parameters:

name (Name)

class pyVHDLModel.Symbol.SimpleSubtypeSymbol(name)[source]

A configuration reference in a configuration instantiation.

Inheritance

Inheritance diagram of SimpleSubtypeSymbol

Parameters:

name (Name)

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

__init__(name)
Parameters:

name (Name)

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_name: Name

The name to reference the langauge entity.

_possibleReferences: PossibleReference

An enumeration to filter possible references.

_reference: Optional[Any]

The resolved language entity, otherwise None.

class pyVHDLModel.Symbol.ConstrainedScalarSubtypeSymbol(name)[source]

A configuration reference in a configuration instantiation.

Inheritance

Inheritance diagram of ConstrainedScalarSubtypeSymbol

Parameters:

name (Name)

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

__init__(name)
Parameters:

name (Name)

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_name: Name

The name to reference the langauge entity.

_possibleReferences: PossibleReference

An enumeration to filter possible references.

_reference: Optional[Any]

The resolved language entity, otherwise None.

class pyVHDLModel.Symbol.ConstrainedCompositeSubtypeSymbol(name)[source]

A configuration reference in a configuration instantiation.

Inheritance

Inheritance diagram of ConstrainedCompositeSubtypeSymbol

Parameters:

name (Name)

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

__init__(name)
Parameters:

name (Name)

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_name: Name

The name to reference the langauge entity.

_possibleReferences: PossibleReference

An enumeration to filter possible references.

_reference: Optional[Any]

The resolved language entity, otherwise None.

class pyVHDLModel.Symbol.SimpleObjectOrFunctionCallSymbol(name)[source]

Inheritance

Inheritance diagram of SimpleObjectOrFunctionCallSymbol

Parameters:

name (Name)

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_name: Name

The name to reference the langauge entity.

_possibleReferences: PossibleReference

An enumeration to filter possible references.

_reference: Optional[Any]

The resolved language entity, otherwise None.

__init__(name)[source]
Parameters:

name (Name)

class pyVHDLModel.Symbol.IndexedObjectOrFunctionCallSymbol(name)[source]

Inheritance

Inheritance diagram of IndexedObjectOrFunctionCallSymbol

Parameters:

name (Name)

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

__repr__()

Return repr(self).

Return type:

str

__str__()

Return str(self).

Return type:

str

_name: Name

The name to reference the langauge entity.

_possibleReferences: PossibleReference

An enumeration to filter possible references.

_reference: Optional[Any]

The resolved language entity, otherwise None.

__init__(name)[source]
Parameters:

name (Name)