pyVHDLModel.PSLModel

This module contains an abstract document language model for PSL in VHDL.

Classes

  • PSLEntity: ModelEntity is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple

  • PSLPrimaryUnit: A base-class for all primary design units.

  • VerificationUnit: A base-class for all primary design units.

  • VerificationProperty: A base-class for all primary design units.

  • VerificationMode: A base-class for all primary design units.

  • DefaultClock: ModelEntity is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple


Classes

class pyVHDLModel.PSLModel.PSLEntity[source]

Inheritance

Inheritance diagram of PSLEntity

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__()

Initializes a VHDL model entity.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

class pyVHDLModel.PSLModel.PSLPrimaryUnit(identifier, contextItems=None, documentation=None)[source]

Inheritance

Inheritance diagram of PSLPrimaryUnit

Parameters:
property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(identifier, contextItems=None, documentation=None)

Initializes a design unit.

Parameters:
  • identifier (# Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include) – Identifier (name) of the design unit.

  • contextItems (# Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include) – A sequence of library, use or context clauses.

  • documentation (# Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include) – Associated documentation of the design unit.

_contextItems: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

List of all context items (library, use and context clauses).

_contextReferences: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

List of context clauses.

_dependencyVertex: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

The vertex in the dependency graph

_documentation: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

The associated documentation of a model entity.

_hierarchyVertex: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

The vertex in the hierarchy graph

_identifier: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

The identifier of a model entity.

_library: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

The VHDL library, the design unit was analyzed into.

_libraryReferences: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

List of library clauses.

_normalizedIdentifier: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

The normalized (lower case) identifier of a model entity.

_packageReferences: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

List of use clauses.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

_referencedContexts: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.PSLModel.VerificationUnit(identifier)[source]

Inheritance

Inheritance diagram of VerificationUnit

Parameters:

identifier (str) –

__init__(identifier)[source]

Initializes a design unit.

Parameters:
  • identifier (# Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include) – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_contextItems: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

List of all context items (library, use and context clauses).

_contextReferences: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

List of context clauses.

_dependencyVertex: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

The vertex in the dependency graph

_documentation: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

The associated documentation of a model entity.

_hierarchyVertex: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

The vertex in the hierarchy graph

_identifier: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

The identifier of a model entity.

_library: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

The VHDL library, the design unit was analyzed into.

_libraryReferences: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

List of library clauses.

_normalizedIdentifier: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

The normalized (lower case) identifier of a model entity.

_packageReferences: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

List of use clauses.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

_referencedContexts: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.PSLModel.VerificationProperty(identifier)[source]

Inheritance

Inheritance diagram of VerificationProperty

Parameters:

identifier (str) –

__init__(identifier)[source]

Initializes a design unit.

Parameters:
  • identifier (# Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include) – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_contextItems: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

List of all context items (library, use and context clauses).

_contextReferences: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

List of context clauses.

_dependencyVertex: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

The vertex in the dependency graph

_documentation: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

The associated documentation of a model entity.

_hierarchyVertex: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

The vertex in the hierarchy graph

_identifier: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

The identifier of a model entity.

_library: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

The VHDL library, the design unit was analyzed into.

_libraryReferences: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

List of library clauses.

_normalizedIdentifier: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

The normalized (lower case) identifier of a model entity.

_packageReferences: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

List of use clauses.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

_referencedContexts: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.PSLModel.VerificationMode(identifier)[source]

Inheritance

Inheritance diagram of VerificationMode

Parameters:

identifier (str) –

__init__(identifier)[source]

Initializes a design unit.

Parameters:
  • identifier (# Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include) – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation – Associated documentation of the design unit.

property ContextItems: List[LibraryClause | UseClause | ContextReference]

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_contextItems: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

List of all context items (library, use and context clauses).

_contextReferences: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

List of context clauses.

_dependencyVertex: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

The vertex in the dependency graph

_documentation: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

The associated documentation of a model entity.

_hierarchyVertex: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

The vertex in the hierarchy graph

_identifier: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

The identifier of a model entity.

_library: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

The VHDL library, the design unit was analyzed into.

_libraryReferences: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

List of library clauses.

_normalizedIdentifier: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

The normalized (lower case) identifier of a model entity.

_packageReferences: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

List of use clauses.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.

_referencedContexts: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Referenced contexts based on explicit context references or implicit inheritance

_referencedLibraries: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Referenced packages based on explicit use clauses or implicit inheritance

class pyVHDLModel.PSLModel.DefaultClock(identifier)[source]

Inheritance

Inheritance diagram of DefaultClock

Parameters:

identifier (str) –

__init__(identifier)[source]

Initializes a VHDL model entity.

Parameters:

identifier (str) –

_identifier: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

The identifier of a model entity.

_normalizedIdentifier: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

The normalized (lower case) identifier of a model entity.

class property HasClassAttributes: bool

Check if class has Attributes.

Returns:

True, if the class has Attributes.

class property HasMethodAttributes: bool

Check if class has any method with Attributes.

Returns:

True, if the class has any method with Attributes.

property Identifier: str

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property NormalizedIdentifier: str

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property Parent: ModelEntity

Returns a reference to the parent entity.

Returns:

Parent entity.

_parent: # Load pre-defined aliases and graphical characters like © from docutils # <file> is used to denote the special path # <Python>\Lib\site-packages\docutils\parsers\rst\include

Reference to a parent entity in the model.